fw4spl
my_sub.f
1  subroutine my_sub
2  end